5 Star 1 Fork 1

NEKOPARA / zlsjb100_plus

加入 Gitee
与超过 1200万 开发者一起发现、参与优秀开源项目,私有仓库也完全免费 :)
免费加入
克隆/下载
session.inter_iopmp.vpd.tcl 7.12 KB
一键复制 编辑 原始数据 按行查看 历史
Koduck 提交于 2023-06-06 16:55 . 新增IOPMP、flash VCS仿真波形tcl
# Begin_DVE_Session_Save_Info
# DVE view(Wave.1 ) session
# Saved on Tue May 30 09:59:06 2023
# Toplevel windows open: 2
# TopLevel.1
# TopLevel.2
# Wave.1: 33 signals
# End_DVE_Session_Save_Info
# DVE version: O-2018.09-SP2_Full64
# DVE build date: Feb 28 2019 23:39:41
#<Session mode="View" path="/home/ICer/Xuantie/zlsjb100_plus-v9.1.2.1_0528/session.inter_iopmp.vpd.tcl" type="Debug">
#<Database>
gui_set_time_units 100ps
#</Database>
# DVE View/pane content session:
# Begin_DVE_Session_Save_Info (Wave.1)
# DVE wave signals session
# Saved on Tue May 30 09:59:06 2023
# 33 signals
# End_DVE_Session_Save_Info
# DVE version: O-2018.09-SP2_Full64
# DVE build date: Feb 28 2019 23:39:41
#Add ncecessay scopes
gui_load_child_values {wujian100_open_tb.x_wujian100_open_top.x_pdu_top.x_sub_ls_top.sr_check_inst}
gui_load_child_values {wujian100_open_tb.x_wujian100_open_top.x_pdu_top.x_sub_ls_top}
gui_set_time_units 100ps
set _wave_session_group_11 Group4
if {[gui_sg_is_group -name "$_wave_session_group_11"]} {
set _wave_session_group_11 [gui_sg_generate_new_name]
}
set Group1 "$_wave_session_group_11"
gui_sg_addsignal -group "$_wave_session_group_11" { {Sim:wujian100_open_tb.x_wujian100_open_top.x_pdu_top.x_sub_ls_top.lsbus_apb0_s2_haddr} {Sim:wujian100_open_tb.x_wujian100_open_top.x_pdu_top.x_sub_ls_top.lsbus_apb0_s2_hburst} {Sim:wujian100_open_tb.x_wujian100_open_top.x_pdu_top.x_sub_ls_top.lsbus_apb0_s2_hprot} {Sim:wujian100_open_tb.x_wujian100_open_top.x_pdu_top.x_sub_ls_top.lsbus_apb0_s2_hsel} {Sim:wujian100_open_tb.x_wujian100_open_top.x_pdu_top.x_sub_ls_top.lsbus_apb0_s2_hsize} {Sim:wujian100_open_tb.x_wujian100_open_top.x_pdu_top.x_sub_ls_top.lsbus_apb0_s2_htrans} {Sim:wujian100_open_tb.x_wujian100_open_top.x_pdu_top.x_sub_ls_top.lsbus_apb0_s2_hwdata} {Sim:wujian100_open_tb.x_wujian100_open_top.x_pdu_top.x_sub_ls_top.lsbus_apb0_s2_hwrite} {Sim:wujian100_open_tb.x_wujian100_open_top.x_pdu_top.x_sub_ls_top.apb0_lsbus_s2_hrdata} {Sim:wujian100_open_tb.x_wujian100_open_top.x_pdu_top.x_sub_ls_top.apb0_lsbus_s2_hready} {Sim:wujian100_open_tb.x_wujian100_open_top.x_pdu_top.x_sub_ls_top.apb0_lsbus_s2_hresp} {Sim:wujian100_open_tb.x_wujian100_open_top.x_pdu_top.x_sub_ls_top.sr_check_inst.bus_read_access} }
set _wave_session_group_12 Group5
if {[gui_sg_is_group -name "$_wave_session_group_12"]} {
set _wave_session_group_12 [gui_sg_generate_new_name]
}
set Group2 "$_wave_session_group_12"
gui_sg_addsignal -group "$_wave_session_group_12" { {Sim:wujian100_open_tb.x_wujian100_open_top.x_pdu_top.x_sub_ls_top.lsbus_apb0_s2_check_haddr} {Sim:wujian100_open_tb.x_wujian100_open_top.x_pdu_top.x_sub_ls_top.lsbus_apb0_s2_check_hburst} {Sim:wujian100_open_tb.x_wujian100_open_top.x_pdu_top.x_sub_ls_top.lsbus_apb0_s2_check_hprot} {Sim:wujian100_open_tb.x_wujian100_open_top.x_pdu_top.x_sub_ls_top.lsbus_apb0_s2_check_hsel} {Sim:wujian100_open_tb.x_wujian100_open_top.x_pdu_top.x_sub_ls_top.lsbus_apb0_s2_check_hsize} {Sim:wujian100_open_tb.x_wujian100_open_top.x_pdu_top.x_sub_ls_top.lsbus_apb0_s2_check_htrans} {Sim:wujian100_open_tb.x_wujian100_open_top.x_pdu_top.x_sub_ls_top.lsbus_apb0_s2_check_hwdata} {Sim:wujian100_open_tb.x_wujian100_open_top.x_pdu_top.x_sub_ls_top.lsbus_apb0_s2_check_hwrite} {Sim:wujian100_open_tb.x_wujian100_open_top.x_pdu_top.x_sub_ls_top.apb0_lsbus_s2_check_hrdata} {Sim:wujian100_open_tb.x_wujian100_open_top.x_pdu_top.x_sub_ls_top.apb0_lsbus_s2_check_hready} {Sim:wujian100_open_tb.x_wujian100_open_top.x_pdu_top.x_sub_ls_top.apb0_lsbus_s2_check_hresp} {Sim:wujian100_open_tb.x_wujian100_open_top.x_pdu_top.x_sub_ls_top.apb0_lsbus_s2_check_intr} }
set _wave_session_group_13 Group6
if {[gui_sg_is_group -name "$_wave_session_group_13"]} {
set _wave_session_group_13 [gui_sg_generate_new_name]
}
set Group3 "$_wave_session_group_13"
gui_sg_addsignal -group "$_wave_session_group_13" { {Sim:wujian100_open_tb.x_wujian100_open_top.x_pdu_top.x_sub_ls_top.sr_check_inst.write_en} {Sim:wujian100_open_tb.x_wujian100_open_top.x_pdu_top.x_sub_ls_top.sr_check_inst.read_en} {Sim:wujian100_open_tb.x_wujian100_open_top.x_pdu_top.x_sub_ls_top.sr_check_inst.pri_wr} {Sim:wujian100_open_tb.x_wujian100_open_top.x_pdu_top.x_sub_ls_top.sr_check_inst.io_hit} {Sim:wujian100_open_tb.x_wujian100_open_top.x_pdu_top.x_sub_ls_top.sr_check_inst.bus_ieqo} {Sim:wujian100_open_tb.x_wujian100_open_top.x_pdu_top.x_sub_ls_top.sr_check_inst.bus_read_access} }
set _wave_session_group_14 Group7
if {[gui_sg_is_group -name "$_wave_session_group_14"]} {
set _wave_session_group_14 [gui_sg_generate_new_name]
}
set Group4 "$_wave_session_group_14"
gui_sg_addsignal -group "$_wave_session_group_14" { {Sim:wujian100_open_tb.x_wujian100_open_top.x_pdu_top.x_sub_ls_top.sr_check_inst.srck_state_n_i} }
set _wave_session_group_15 Group8
if {[gui_sg_is_group -name "$_wave_session_group_15"]} {
set _wave_session_group_15 [gui_sg_generate_new_name]
}
set Group5 "$_wave_session_group_15"
gui_sg_addsignal -group "$_wave_session_group_15" { {Sim:wujian100_open_tb.x_wujian100_open_top.x_pdu_top.x_sub_ls_top.sr_control_inst.state} {Sim:wujian100_open_tb.x_wujian100_open_top.x_pdu_top.x_sub_ls_top.sr_control_inst.state_next} }
if {![info exists useOldWindow]} {
set useOldWindow true
}
if {$useOldWindow && [string first "Wave" [gui_get_current_window -view]]==0} {
set Wave.1 [gui_get_current_window -view]
} else {
set Wave.1 [lindex [gui_get_window_ids -type Wave] 0]
if {[string first "Wave" ${Wave.1}]!=0} {
gui_open_window Wave
set Wave.1 [ gui_get_current_window -view ]
}
}
set groupExD [gui_get_pref_value -category Wave -key exclusiveSG]
gui_set_pref_value -category Wave -key exclusiveSG -value {false}
set origWaveHeight [gui_get_pref_value -category Wave -key waveRowHeight]
gui_list_set_height -id Wave -height 25
set origGroupCreationState [gui_list_create_group_when_add -wave]
gui_list_create_group_when_add -wave -disable
gui_marker_set_ref -id ${Wave.1} C1
gui_wv_zoom_timerange -id ${Wave.1} 4760623 4772207
gui_list_add_group -id ${Wave.1} -after {New Group} [list ${Group1}]
gui_list_add_group -id ${Wave.1} -after {New Group} [list ${Group2}]
gui_list_add_group -id ${Wave.1} -after {New Group} [list ${Group3}]
gui_list_add_group -id ${Wave.1} -after {New Group} [list ${Group4}]
gui_list_add_group -id ${Wave.1} -after {New Group} [list ${Group5}]
gui_list_select -id ${Wave.1} {wujian100_open_tb.x_wujian100_open_top.x_pdu_top.x_sub_ls_top.apb0_lsbus_s2_hready }
gui_seek_criteria -id ${Wave.1} {Any Edge}
gui_set_pref_value -category Wave -key exclusiveSG -value $groupExD
gui_list_set_height -id Wave -height $origWaveHeight
if {$origGroupCreationState} {
gui_list_create_group_when_add -wave -enable
}
if { $groupExD } {
gui_msg_report -code DVWW028
}
gui_list_set_filter -id ${Wave.1} -list { {Buffer 1} {Input 1} {Others 1} {Linkage 1} {Output 1} {Parameter 1} {All 1} {Aggregate 1} {LibBaseMember 1} {Event 1} {Assertion 1} {Constant 1} {Interface 1} {BaseMembers 1} {Signal 1} {$unit 1} {Inout 1} {Variable 1} }
gui_list_set_filter -id ${Wave.1} -text {*}
gui_list_set_insertion_bar -id ${Wave.1} -group ${Group5} -position in
gui_marker_move -id ${Wave.1} {C1} 4764959
gui_view_scroll -id ${Wave.1} -vertical -set 15
gui_show_grid -id ${Wave.1} -enable false
#</Session>
1
https://gitee.com/JYL1479606422/zlsjb100_plus.git
git@gitee.com:JYL1479606422/zlsjb100_plus.git
JYL1479606422
zlsjb100_plus
zlsjb100_plus
CCIC8_main

搜索帮助