2 Star 19 Fork 9

董良 / FPGA

加入 Gitee
与超过 1200万 开发者一起发现、参与优秀开源项目,私有仓库也完全免费 :)
免费加入
该仓库未声明开源许可证文件(LICENSE),使用请关注具体项目描述及其代码上游依赖。
克隆/下载
贡献代码
同步代码
取消
提示: 由于 Git 不支持空文件夾,创建文件夹后会生成空的 .keep 文件
Loading...
README

FPGA Tutorial

本项目旨在帮助大家进行FPGA的入门,分享FPGA相关的优秀文章,优秀项目

一起为本项目作出贡献

零、镜像下载

一、入门资料

FPGA相关门户网站

每个人都应该会使用GitHub

数字电路

数字电路是学习FPGA的前提。

如果没有学习过数字电路,先选择一本数字电路教程(下面的数字电路课本中选一本,或者用手头的数字电路课本),再选择一本Verilog教程。用Verilog实现一遍数字电路里的加法器等等,是很好的入门经历。

Verilog HDL入门

HDL是硬件描述语言(Hardware Design Language),使用这门语言的时候我们像是在建模,这点区别于编程语言,这往往是新手首先需要绕过来的难关!

Xilinx和Altera是我们主要使用到的FPGA芯片厂商,此外还有国产的紫光、安陆等。对我们用户来说,他们的区别主要在于EDA软件的不同,比如Xilinx使用的是Vivado、Altera使用的是Quatus...

我个人认为Vivado的功能最强大,最好用,但缺点在于综合、生成等步骤的速度慢,你需要一台好的电脑!

虽然对于学习Verilog来说他们没有差别,但学习FPGA的时候手上最好要有一块板卡,上板验证会有更多的成就感。所以,用什么家的板卡,就用什么软件。

飞速提升开发效率✈️

规范你的工程🌟

商业报告

二、进阶资料

SOC System on Chip

Xilinx系列最全最详细的文档其实是官方提供的 Xilinx Docnav

https://www.zhihu.com/question/56596019

HLS 高层次综合

计算机体系结构

深度神经网络加速器

硬件驱动

操作系统

三、优秀项目

官方开源项目

优秀开源项目 - 初级

优秀开源项目 - 中级

优秀开源项目 - 高级

四、推荐群组

  1. <OpnFPGA/IC攻城狮>
  • 类型:QQ群
  • 群号:915880054
  • 备注:碎碎思大佬的群,主要是分享资料的,进群可以转微信群,那边很活跃。
  1. <Verilog编程艺术>
  • 类型:QQ群
  • 群号:361820636
  • 备注:群主几百年没有出现过了,也没有管理员,时常有广告
  1. <verilog vhdl fpga入门进阶>
  • 类型:QQ群
  • 群号:1140582958
  • 备注:1000人大群
  1. <摸鱼范式-2022届数字IC>
  • 类型:QQ群
  • 群号:1060380138
  • 备注:“摸鱼范式”公众号的群,2000人群

空文件

简介

帮助大家进行FPGA的入门,分享FPGA相关的优秀文章,优秀项目 展开 收起
取消

发行版

暂无发行版

贡献者

全部

近期动态

加载更多
不能加载更多了
1
https://gitee.com/dong-liang7614/FPGA.git
git@gitee.com:dong-liang7614/FPGA.git
dong-liang7614
FPGA
FPGA
master

搜索帮助