5322946 liangkangnan 1578984522
一个从零开始写的极简、非常易懂的RISC-V处理器核。
8241888 xiaowuzxc 1640229265
260
小麻雀处理器SparrowRV采用RISC-V架构,支持RV32IMZicsr指令集,2级流水线,哈佛结构,配有中断系统。MCU级别的处理器,麻雀虽小,五脏俱全。
Verilog
1个月前
154
FPGA&VerilogHDL应用设计教程,包括课程思维导图、各章实例、各实验源码、综合实验例程。
150
玉衡是一款从零开始写的 RISC-V 内核的处理器,基于 Verilog 硬件设计语言实现,五级流水线设计,支持 RV32IM 指令集,支持中断,支持 RT-Thread Nano 3.1.5
Verilog
8个月前
8241888 xiaowuzxc 1640229265
113
从零写一个16位处理器,采用自主设计的大黄鸭指令集,单周期3级流水线,8位指令双发射。配套大黄鸭汇编器,简化程序开发。目前主体设计已完成,大家的支持是我前进的动力。
Verilog
1年前
65
支持OpenGL ES 1.1的GPU内核
Verilog
3年多前
8448934 lizhanpeng2022 1705042000
62
一个基于 RISC-V 指令集的 CPU 实现(成功移植到野火征途 PRO 开发板),以及从零开始写一个基于 RISC-V 的 RT-Thread~
Verilog
19天前
5069890 seddon2001 1615086002
20
This repo is GenshinCPU From NWPU(NSCSCC First Prize)
Verilog
2年多前
12 mirrors 1578989292
8
lowRISC 目的是开发一个完全开放的硬件平台,从处理器到开发版
Verilog
3年多前
8
基于zynq的VGA驱动,开发环境:Miz702开发板(兼容zedboard),vivado 2015.2 1.480*640 分辨率 2.显示静态彩色图片
Verilog
8年前
8650835 fibercomm 1664269519
8
使用DDS芯片AD9914产生线性扫频信号
Verilog
2年多前
6
基于Xlinx S6芯片的Sobel边沿检测算法实现
Verilog
接近6年前
4
本项目是基于XILINX A7芯片的HDMI控制模块
Verilog
接近6年前
1567069 rspwfpgas 1598362756
2
Ethernet interfacing and packet processing on FPGAs, starting from a minimum functionality MAC layer design.
Verilog
接近6年前
5522262 yuan hp 1586251727
2
ltc2357芯片调试
Verilog
3年多前

搜索帮助

14c37bed 8189591 565d56ea 8189591