当前仓库属于暂停状态,部分功能使用受限,详情请查阅 仓库状态说明
1 Star 0 Fork 74

单曲循环 / SpaceVim
暂停

forked from SpaceVim / SpaceVim 
加入 Gitee
与超过 1200万 开发者一起发现、参与优秀开源项目,私有仓库也完全免费 :)
免费加入
克隆/下载
vimrc 849 Bytes
一键复制 编辑 原始数据 按行查看 历史
wsdjeg 提交于 2019-10-11 23:07 . Fix Buffer api (#3136)
"=============================================================================
" vimrc --- Entry file for vim
" Copyright (c) 2016-2017 Shidong Wang & Contributors
" Author: Shidong Wang < wsdjeg at 163.com >
" URL: https://spacevim.org
" License: GPLv3
"=============================================================================
" Note: Skip initialization for vim-tiny or vim-small.
if 1
let g:_spacevim_if_lua = 0
if has('lua')
let s:plugin_dir = fnamemodify(expand('<sfile>'), ':h').'\lua'
let s:str = s:plugin_dir . '\?.lua;' . s:plugin_dir . '\?\init.lua;'
silent! lua package.path=vim.eval("s:str") .. package.path
if empty(v:errmsg)
let g:_spacevim_if_lua = 1
endif
endif
execute 'source' fnamemodify(expand('<sfile>'), ':h').'/config/main.vim'
endif
" vim:set et sw=2
VimL
1
https://gitee.com/rorob/SpaceVim.git
git@gitee.com:rorob/SpaceVim.git
rorob
SpaceVim
SpaceVim
master

搜索帮助