29 Star 171 Fork 23

GVP芯华章科技股份有限公司 / EpicFV

加入 Gitee
与超过 1200万 开发者一起发现、参与优秀开源项目,私有仓库也完全免费 :)
免费加入
克隆/下载
readme.md 1.78 KB
一键复制 编辑 原始数据 按行查看 历史
tizhou 提交于 2021-01-19 14:07 . readme

(English Documents Available)

随着芯片设计行业的高速发展,行业对芯片验证提出了更高的要求。动态仿真,形式验证,原型设计,硬件加速是常见的几大功能验证的手段。

其中,形式验证有着以下三种优势:

  1. 形式验证是穷尽的,完备的;
  2. 形式验证不需要任何复杂测试激励环境的搭建,只需要写约束和测试点即可;
  3. 形式验证对设计收敛的效率是传统仿真的倍数级;
  4. 形式验证适合于设计人员在早期对于自己的模块进行功能验证。

但是,形式验证需要使用断言(SystemVerilog Assertion),工程师必须熟悉语法,并且对工具内部的引擎有一定熟悉度,这对使用门槛和开发技术的成熟度提出了较高的要求,导致无论是高校还是设计公司,虽然都希望能借形式验证工具来大大加速验证的收敛,却因缺乏经验而止步。

作为全球首款开源形式验证工具,“灵验”在用户输入RTL设计和SystemVerilog断言描述设计规范的情况下,可以自动进行语法解析、设计综合、断言解析,并且基于分布式计算理论自动调度引擎求解断言,加速验证收敛,从而降低形式验证工具的使用门槛。

Overview

Features

■ 业界首款开源形式验证工具

■ 分布式计算/并行计算加速验证收敛

■ 自动调度引擎,降低形式验证工具使用门槛

■ 在易用性、实用性、稳定性上提供专业技术支持

Support

C++
1
https://gitee.com/x-epic/EpicFV.git
git@gitee.com:x-epic/EpicFV.git
x-epic
EpicFV
EpicFV
main

搜索帮助